Xilinx Blokiraj Ram početne vrijednosti problem

X

xenix

Guest
Bok,

Look up stolovi pojesti previše logike izvor ako je stol je velik.Želim pohraniti neke podatke o blok ovan.Podaci se učitava kao početne vrijednosti za Bram.Ram pisati je onemogućen, tako da će biti kao ROM operacije.

Našto ja pokušati čitati RAM-a, ona uvijek stoji nula, je li nešto u redu s početne vrijednosti zadatak?

INIT_xx su početne vrijednosti vektora.Što su INITP_xx vektori?

Hvala za odgovore.

Šifra:

library IEEE;

korištenje IEEE.STD_LOGIC_1164.ALL;

korištenje IEEE.STD_LOGIC_ARITH.ALL;

korištenje IEEE.STD_LOGIC_UNSIGNED.ALL;entitet acitablo1 je

Port (

modulasyon: u STD_LOGIC_VECTOR (8 downto 0); - ovan adresa

CLK: u STD_LOGIC; - ovan sat

aci1: out STD_LOGIC_VECTOR (13 downto 0) - podaci iz

);

kraj acitablo1;architecture Behavioral of acitablo1 jekomponenta RAMB16_S18

- Pragma translate_off

generic (

INIT: bit_vector: X = "0";

INIT_00: bit_vector: = X "1818181A181D182018241829182D18321838183E1844184A18501856185D1864"; - početne vrijednosti

INIT_01: bit_vector: = X "1846183F18391833182D18281823181F181B1818181618151814181418151816";

INIT_02: bit_vector: = X "183318441852185D1865186B186E1870186F186D186A18651860185A1854184D";

INIT_03: bit_vector: = X "0B060B4B0B900BD4169016C016ED17191743176B179017B217D217EF1809181F";

INIT_04: bit_vector: = X "122A1263129C12D61310134B138513C013FA1435099009C90A040A420A810AC3";

INIT_05: bit_vector: = X "0684069206A006AF06BF0FD806E206F5070A071E07331114114A118111B911F1";

INIT_06: bit_vector: = X "0C4F0C710C94062C062E063106340639063D0643065105EE06590605066D0678";

INIT_07: bit_vector: = X "05C905DA05EA05F70B510B590B630B710B800B930BA80BBF0BD80BF40C110C2F";

INIT_08: bit_vector: = X "01840275030D037E03D904250466049E04D004FC0524054805680584059E05B5";

INIT_09: bit_vector: = X "0756079107D10818086508B9091309100910030C0438003203660258030E00C8";

INIT_0A: bit_vector: = X "04F50514053305520572059205B305D505F8061C06410668069206BE06ED071F";

INIT_0B: bit_vector: = X "0365036E037B038C03A003B703D003EA04050422043F045D047B049904B704D6";

INIT_0C: bit_vector: = X "000000000000000000000644054804BB0457040C03D203A60387037203670363";

INIT_0D: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_0E: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_0F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_10: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_11: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_12: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_13: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_14: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_15: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_16: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_17: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_18: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_19: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1A: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1B: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1C: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1D: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1E: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_20: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_21: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_22: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_23: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_24: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_25: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_26: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_27: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_28: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_29: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2A: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2B: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2C: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2D: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2E: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_30: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_31: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_32: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_33: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_34: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_35: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_36: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_37: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_38: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_39: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3A: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3B: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3C: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3D: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3E: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_00: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_01: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_02: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_03: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_04: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_05: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_06: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_07: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

SRVAL: bit_vector: X = "0";

WRITE_MODE: string = "READ_FIRST"

);

- Pragma translate_on

port (

DI: u std_logic_vector (15 downto 0);

DIP: u std_logic_vector (1 downto 0);

Adr: u std_logic_vector (9 downto 0);

HR: in std_logic;

WE: in std_logic;

SSR: in std_logic;

CLK: in std_logic;

DO: out std_logic_vector (15 downto 0);

DOP: out std_logic_vector (1 downto 0)

);

end komponente;atribut WRITE_MODE: string;

atribut WRITE_MODE od U_RAMB16_S18: naljepnica "READ_FIRST";signala adr: STD_LOGIC_VECTOR (9 downto 0);

signala di: STD_LOGIC_VECTOR (15 downto 0);

signala umočiti: STD_LOGIC_VECTOR (1 downto 0);

signala do: STD_LOGIC_VECTOR (15 downto 0);

signala DOP: STD_LOGIC_VECTOR (1 downto 0);započetiadr <= "0" & (modulasyon-"011.011.101") - čine ga 10-bitni, uklonite offset i opterećenje na adresu.umočiti <= "00"; - paritet se ne koristi, teret s nula

di <= x "0000"; - pisanje je onemogućen, tako da je to nevažanaci1 <= ne (13 downto 0); - podaci je učitana za izlazU_RAMB16_S18: RAMB16_S18

port map (

DI => di, - umetanje 16 bita podataka u autobus (<15 downto 0>)

DIP => dip, - 2 umetanje bita pariteta podataka u autobus (i <17 downto 16>)

Adr => adr, - umetanje 10 bits adresna sabirnica

EN => '1 ', - omogućiti umetanje signala - uvijek omogućiti

WE => '0 ', - umetanje pisati omogućiti signala - ROM pogon, dont pisati

SSR => '0 ', - umetnuti set / reset signala

CLK => CLK, - umetanje sat signal

DO => napraviti, - umetanje 16 bita podataka iz autobusa (<15 downto 0>)

DOP => DOP - 2 umetanje bita pariteta podataka iz autobusom (ili <17 downto 16>)

);end Behavioral;
 
Našao sam rješenje.Moj početne vrijednosti assigntment metoda za simuliranje, a ne za provedbu.U cilju provedbe inicijalne vrijednosti, atribut metodu treba koristiti.

Rad kod:

Šifra:

library IEEE;

korištenje IEEE.STD_LOGIC_1164.ALL;

korištenje IEEE.STD_LOGIC_ARITH.ALL;

korištenje IEEE.STD_LOGIC_UNSIGNED.ALL;entitet acitablo1 je

Port (

modulasyon: u STD_LOGIC_VECTOR (8 downto 0); - ovan adresa

CLK: u STD_LOGIC; - ovan sat

aci1: out STD_LOGIC_VECTOR (13 downto 0) - podaci iz

);

kraj acitablo1;architecture Behavioral of acitablo1 jeatribut INIT_00: string;

atribut INIT_01: string;

atribut INIT_02: string;

atribut INIT_03: string;

atribut INIT_04: string;

atribut INIT_05: string;

atribut INIT_06: string;

atribut INIT_07: string;

atribut INIT_08: string;

atribut INIT_09: string;

atribut INIT_0A: string;

atribut INIT_0B: string;

atribut INIT_0C: string;

atribut INIT_0D: string;

atribut INIT_0E: string;

atribut INIT_0F: string;

atribut INIT_10: string;

atribut INIT_11: string;

atribut INIT_12: string;

atribut INIT_13: string;

atribut INIT_14: string;

atribut INIT_15: string;

atribut INIT_16: string;

atribut INIT_17: string;

atribut INIT_18: string;

atribut INIT_19: string;

atribut INIT_1A: string;

atribut INIT_1B: string;

atribut INIT_1C: string;

atribut INIT_1D: string;

atribut INIT_1E: string;

atribut INIT_1F: string;

atribut INIT_20: string;

atribut INIT_21: string;

atribut INIT_22: string;

atribut INIT_23: string;

atribut INIT_24: string;

atribut INIT_25: string;

atribut INIT_26: string;

atribut INIT_27: string;

atribut INIT_28: string;

atribut INIT_29: string;

atribut INIT_2A: string;

atribut INIT_2B: string;

atribut INIT_2C: string;

atribut INIT_2D: string;

atribut INIT_2E: string;

atribut INIT_2F: string;

atribut INIT_30: string;

atribut INIT_31: string;

atribut INIT_32: string;

atribut INIT_33: string;

atribut INIT_34: string;

atribut INIT_35: string;

atribut INIT_36: string;

atribut INIT_37: string;

atribut INIT_38: string;

atribut INIT_39: string;

atribut INIT_3A: string;

atribut INIT_3B: string;

atribut INIT_3C: string;

atribut INIT_3D: string;

atribut INIT_3E: string;

atribut INIT_3F: string;

atribut INITP_00: string;

atribut INITP_01: string;

atribut INITP_02: string;

atribut INITP_03: string;

atribut INITP_04: string;

atribut INITP_05: string;

atribut INITP_06: string;

atribut INITP_07: string;

--

- Atributi se definiraju sadržaj ROM-a tijekom provedbe sinteze.

--

atribut INIT_00 od U_RAMB16_S18: naljepnica "1818181A181D182018241829182D18321838183E1844184A18501856185D1864";

atribut INIT_01 od U_RAMB16_S18: naljepnica "1846183F18391833182D18281823181F181B1818181618151814181418151816";

atribut INIT_02 od U_RAMB16_S18: naljepnica "183318441852185D1865186B186E1870186F186D186A18651860185A1854184D";

atribut INIT_03 od U_RAMB16_S18: naljepnica "0B060B4B0B900BD4169016C016ED17191743176B179017B217D217EF1809181F";

atribut INIT_04 od U_RAMB16_S18: naljepnica "122A1263129C12D61310134B138513C013FA1435099009C90A040A420A810AC3";

atribut INIT_05 od U_RAMB16_S18: naljepnica "0684069206A006AF06BF0FD806E206F5070A071E07331114114A118111B911F1";

atribut INIT_06 od U_RAMB16_S18: naljepnica "0C4F0C710C94062C062E063106340639063D0643065105EE06590605066D0678";

atribut INIT_07 od U_RAMB16_S18: naljepnica "05C905DA05EA05F70B510B590B630B710B800B930BA80BBF0BD80BF40C110C2F";

atribut INIT_08 od U_RAMB16_S18: naljepnica "01840275030D037E03D904250466049E04D004FC0524054805680584059E05B5";

atribut INIT_09 od U_RAMB16_S18: naljepnica "0756079107D10818086508B9091309100910030C0438003203660258030E00C8";

atribut INIT_0A od U_RAMB16_S18: naljepnica "04F50514053305520572059205B305D505F8061C06410668069206BE06ED071F";

atribut INIT_0B od U_RAMB16_S18: naljepnica "0365036E037B038C03A003B703D003EA04050422043F045D047B049904B704D6";

atribut INIT_0C od U_RAMB16_S18: naljepnica "000000000000000000000644054804BB0457040C03D203A60387037203670363";

atribut INIT_0D od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_0E od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_0F od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_10 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_11 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_12 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_13 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_14 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_15 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_16 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_17 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_18 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_19 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_1A od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_1B od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_1C od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_1D od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_1E od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_1F od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_20 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_21 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_22 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_23 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_24 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_25 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_26 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_27 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_28 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_29 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_2A od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_2B od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_2C od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_2D od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_2E od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_2F od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_30 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_31 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_32 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_33 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_34 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_35 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_36 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_37 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_38 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_39 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_3A od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_3B od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_3C od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_3D od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_3E od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INIT_3F od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INITP_00 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INITP_01 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INITP_02 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INITP_03 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INITP_04 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INITP_05 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INITP_06 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";

atribut INITP_07 od U_RAMB16_S18: naljepnica "0000000000000000000000000000000000000000000000000000000000000000";komponenta RAMB16_S18

- Pragma translate_off

generic (

INIT: bit_vector: X = "0";

INIT_00: bit_vector: = X "1818181A181D182018241829182D18321838183E1844184A18501856185D1864"; - početne vrijednosti

INIT_01: bit_vector: = X "1846183F18391833182D18281823181F181B1818181618151814181418151816";

INIT_02: bit_vector: = X "183318441852185D1865186B186E1870186F186D186A18651860185A1854184D";

INIT_03: bit_vector: = X "0B060B4B0B900BD4169016C016ED17191743176B179017B217D217EF1809181F";

INIT_04: bit_vector: = X "122A1263129C12D61310134B138513C013FA1435099009C90A040A420A810AC3";

INIT_05: bit_vector: = X "0684069206A006AF06BF0FD806E206F5070A071E07331114114A118111B911F1";

INIT_06: bit_vector: = X "0C4F0C710C94062C062E063106340639063D0643065105EE06590605066D0678";

INIT_07: bit_vector: = X "05C905DA05EA05F70B510B590B630B710B800B930BA80BBF0BD80BF40C110C2F";

INIT_08: bit_vector: = X "01840275030D037E03D904250466049E04D004FC0524054805680584059E05B5";

INIT_09: bit_vector: = X "0756079107D10818086508B9091309100910030C0438003203660258030E00C8";

INIT_0A: bit_vector: = X "04F50514053305520572059205B305D505F8061C06410668069206BE06ED071F";

INIT_0B: bit_vector: = X "0365036E037B038C03A003B703D003EA04050422043F045D047B049904B704D6";

INIT_0C: bit_vector: = X "000000000000000000000644054804BB0457040C03D203A60387037203670363";

INIT_0D: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_0E: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_0F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_10: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_11: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_12: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_13: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_14: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_15: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_16: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_17: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_18: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_19: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1A: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1B: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1C: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1D: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1E: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_1F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_20: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_21: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_22: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_23: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_24: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_25: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_26: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_27: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_28: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_29: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2A: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2B: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2C: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2D: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2E: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_2F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_30: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_31: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_32: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_33: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_34: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_35: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_36: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_37: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_38: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_39: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3A: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3B: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3C: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3D: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3E: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INIT_3F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_00: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_01: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_02: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_03: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_04: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_05: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_06: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

INITP_07: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000";

SRVAL: bit_vector: X = "0";

WRITE_MODE: string = "READ_FIRST"

);

- Pragma translate_on

port (

DI: u std_logic_vector (15 downto 0);

DIP: u std_logic_vector (1 downto 0);

Adr: u std_logic_vector (9 downto 0);

HR: in std_logic;

WE: in std_logic;

SSR: in std_logic;

CLK: in std_logic;

DO: out std_logic_vector (15 downto 0);

DOP: out std_logic_vector (1 downto 0)

);

end komponente;atribut WRITE_MODE: string;

atribut WRITE_MODE od U_RAMB16_S18: naljepnica "READ_FIRST";signala adr: STD_LOGIC_VECTOR (9 downto 0);

signala di: STD_LOGIC_VECTOR (15 downto 0);

signala umočiti: STD_LOGIC_VECTOR (1 downto 0);

signala do: STD_LOGIC_VECTOR (15 downto 0);

signala DOP: STD_LOGIC_VECTOR (1 downto 0);započetiadr <= "0" & (modulasyon-"011.011.101") - čine ga 10-bitni, uklonite offset i opterećenje na adresu.umočiti <= "00"; - paritet se ne koristi, teret s nula

di <= x "0000"; - pisanje je onemogućen, tako da je to nevažanaci1 <= ne (13 downto 0); - podaci je učitana za izlazU_RAMB16_S18: RAMB16_S18

port map (

DI => di, - umetanje 16 bita podataka u autobus (<15 downto 0>)

DIP => dip, - 2 umetanje bita pariteta podataka u autobus (i <17 downto 16>)

Adr => adr, - umetanje 10 bits adresna sabirnica

EN => '1 ', - omogućiti umetanje signala - uvijek omogućiti

WE => '0 ', - umetanje pisati omogućiti signala - ROM pogon, dont pisati

SSR => '0 ', - umetnuti set / reset signala

CLK => CLK, - umetanje sat signal

DO => napraviti, - umetanje 16 bita podataka iz autobusa (<15 downto 0>)

DOP => DOP - 2 umetanje bita pariteta podataka iz autobusom (ili <17 downto 16>)

);end Behavioral;
 
ti si stavljajući
- Pragma translate_off
- Pragma translate_on

koji neće koristiti kod između njih za sintezu

 

Welcome to EDABoard.com

Sponsor

Back
Top