VCD problem ugoditi pomoć

N

negreponte

Guest
Ja sam koristeći analizator dizajn izvršiti moju synthesys original.
Izvršenja, dajte mi dvije datoteke:
SDF datoteku i verilog datoteku.
Napraviti simulacije slučajnih u modelsim proizvoditi VCD datoteku.
Tada sam premijera moći izvršiti putem druge skripta koja glasi verilog datoteku i VCD
datoteka
POČETAK SLIKA
#------------------------------------------------- -------------------------
# Sljedeće je predložak PrimePower TCL datoteku za VCD / Verilog toka.
# 1.Komentari su označeni sa "#".
# 2.Alat za zadane vrijednosti su dali.Oni mogu biti promjene.
# 3.Korisnici moraju zamijeniti pojam "FILLIN" s odgovarajućim mogućnostima / vrijednosti.
# 4.Pogledajte u man pages naredbe za detaljne informacije.
#------------------------------------------------- -------------------------
# Set Search Path / knjižnica: (može biti smještena u. Pp_synopsys.setup)
#------------------------------------------------- -------------------------
# Set search_path / usr/eda/libraries/tsmc013/synopsys
set target_library / usr/eda/libraries/tsmc013/synopsys/typical.db
set link_library / usr/eda/libraries/tsmc013/synopsys/typical.db
#------------------------------------------------- -------------------------
# Load Dizajn i aktivnost Files
#------------------------------------------------- -------------------------
read_verilog-hdl_compiler / home/xxxxxx/Desktop/Synopsys_scripts/sbox1.v
# read_verilog-hdl_compiler / root / our_core / tb.v
current_design sbox
# current_design TB
veza
# read_vcd-strip_path tb/mux1inst / root/our_core/mux1.vcd
# read_vcd-strip_path / root / our_core / tb.v / root/our_core/mux1.vcd
read_vcd / home /*******/ Desktop/Synopsys_scripts/sbox1.vcd
#------------------------------------------------- -------------------------
# Primijeniti zadane parametre
#------------------------------------------------- -------------------------
set hierarchy_separator /
set_input_transition ,1 [all_inputs]
#------------------------------------------------- -------------------------
# Backannotation: Uncomment naredbe koje se odnose
#------------------------------------------------- -------------------------
# Set_wire_load_model-name FILLIN
# Read_parasitics wire.spef
# Current_instance FILLIN
# Izvor FILLIN
#------------------------------------------------- -------------------------
# Power Analiza i valnog Generation
#------------------------------------------------- -------------------------
# set_operating_conditions FILLIN
set_waveform_options-interval 1-file vcd-format fsdb
calculate_power-valnog oblika
report_power-file vcd-prag 0-sortby snage
#------------------------------------------------- -------------------------
# Izvješće kapacitivnost
#------------------------------------------------- -------------------------
# report_wire FILLINKraj datotekeKad sam izvršiti skriptu preko primepower Imam sljedeće upozorenja

NetXXX ne mogu biti obuhvaćeni VCD file (. SIM-220)
oko 300 upozorenja
JA pokušao to promijeniti simulacije senario ali sam imao nekih problema.
Kad vidim stanica tha doprinose u dinamičnom snagom.samo stanice koje su povezane u izlazni sklop daje dinamične snage.

Bilo koji sugestija?
Last edited by negreponte on 22 ožujak 2008 14:22, edited 1 time in total

 
Cyberprzestepcy atakują routery domowe, aby dostać się do kont bankowych Polaków - ostrzega zespół CERT Polska. W ten sposób przestępcy dążą do wyłudzenia danych logowania klientów banków i jednorazowych kodów autoryzacyjnych, a w rezultacie do kradzieży pieniędzy z kont.

Read more...
 
Koji simulator koristite?

Pokušajte proširiti svi autobusi prilikom izrade VCD datoteke, uključujući i sve razine hijerarhije.

Na primjer:

1.Sa Verilog-XL, koristite ', x' Mogućnost proširenja autobusi

verilog-x <verilog files>

2.Sa 'ncsim', koristite opciju-expand

ncvlog ...
ncelab-expand ...
ncsim ...

3.Sa 'ncverilog', upotrijebite ncexpand opciju ncverilog ncexpand ...

 
Ja sam također uzimajući istu vrstu problema i ja sam pomoću alata prime time px ...

Ja sam uzimajući ispod upozorenje našto ja sam čitajući VCD datoteku za izračun procjene snage koristeći pt px.

može bilo tko pustiti mene znati whetehr wwe mogu ignorirati takve vrste upozorenja ili ne

read_vcd-strip_path testbench. / VCD / tb.vcd
Upozorenje: VCD header linija 158.627: redefiniranje Saif neto ime "CLK"
Upozorenje: VCD header linija 158.628: redefiniranje Saif neto ime "ADR [17]"
Upozorenje: VCD header linija 158.628: redefiniranje Saif neto ime "ADR [16]"
Upozorenje: VCD header linija 158.628: redefiniranje Saif neto ime "adr [15]"
Upozorenje: VCD header linija 158.628: redefiniranje Saif neto ime "adr [14]"

 
Zdravo!

Imam potpuno isti problem

<img src="http://www.edaboard.com/images/smiles/icon_cry.gif" alt="Crying or Very sad" border="0" />

.Što je uzrok, posljedica i kako da biste dobili osloboditi od tih upozorenja?

Hvala za bilo kakvu pomoć,

Flyjuju

 
možete pokušati s izvatkom VCD datoteku koristeći VCS.Mnogo puta sam vidio da ncverilog vcd datoteka nije rad po synopsys alata, bcoz luka definiciji su različiti u svakoj alat

 

Welcome to EDABoard.com

Sponsor

Back
Top