R
rjin
Guest
Bok,
ja sam novi u VHDL i trenutno radi na izradi Sie USB kontroler ali Im 'zaglavi na CRC dio.Ive 'pokušao to generira korištenjem bitni serijski pristup, ali
nije sasvim dobijete rezultate (i koristi primjere iz "CRC u USB" whitepaper). Mogao itko pls naglasiti moje greške, hvala.
Code:library IEEE;
koristiti ieee.std_logic_1164.all;entitet crc5 je
port (clk: in std_logic;
RST: in std_logic;
stuffed_data: in std_logic;
out_crc5: out std_logic_vector (4 downto 0));
crc5 end;arhitektura crc5 od crc5 je
signal crc5_state: std_logic_vector (4 downto 0);
započeti
proces
varijabla shift_register: std_logic_vector (4 downto 0);započetiPričekajte dok clk'EVENT I clk ='1 ';crc5_state <= shift_register;
out_crc5 <= NE crc5_state;ako RST ='1 'ondashift_register: = "11111";
out_crc5 <= "11111";Drugicrc5_state (4) <= crc5_state (3);
crc5_state (3) <= crc5_state (2);
crc5_state (2) <= crc5_state (1) xor stuffed_data xor crc5_state (4);
crc5_state (1) <= crc5_state (0);
crc5_state (0) <= stuffed_data xor crc5_state (4);
shift_register: = crc5_state;kraj ako;kraju procesa;crc5 end;
ja sam novi u VHDL i trenutno radi na izradi Sie USB kontroler ali Im 'zaglavi na CRC dio.Ive 'pokušao to generira korištenjem bitni serijski pristup, ali
nije sasvim dobijete rezultate (i koristi primjere iz "CRC u USB" whitepaper). Mogao itko pls naglasiti moje greške, hvala.
Code:library IEEE;
koristiti ieee.std_logic_1164.all;entitet crc5 je
port (clk: in std_logic;
RST: in std_logic;
stuffed_data: in std_logic;
out_crc5: out std_logic_vector (4 downto 0));
crc5 end;arhitektura crc5 od crc5 je
signal crc5_state: std_logic_vector (4 downto 0);
započeti
proces
varijabla shift_register: std_logic_vector (4 downto 0);započetiPričekajte dok clk'EVENT I clk ='1 ';crc5_state <= shift_register;
out_crc5 <= NE crc5_state;ako RST ='1 'ondashift_register: = "11111";
out_crc5 <= "11111";Drugicrc5_state (4) <= crc5_state (3);
crc5_state (3) <= crc5_state (2);
crc5_state (2) <= crc5_state (1) xor stuffed_data xor crc5_state (4);
crc5_state (1) <= crc5_state (0);
crc5_state (0) <= stuffed_data xor crc5_state (4);
shift_register: = crc5_state;kraj ako;kraju procesa;crc5 end;