ModelSim SE kompilacija pogreška

C

cLaRe7

Guest
Bok, Kad sam pokušao sastaviti jednostavan kod u mom ModelSim SE 10,1 (koja kod nema pogrešku u Quartus II kompilacije), to će mi dati "Knjižnica cycloneii nije pronađen." pogreška. Još jedno pitanje, ako kompilacija nije u ModelSim, ali uspjeh u Quartus, mogu ja još uvijek nastaviti s simulacije u Modelsim? Testbench Kompilacija je ok, iako. Molim savjet. Hvala puno
 
Bok, pogreška u Modelsim je zbog mapiranje knjižnica Cycloneii .. Kako bi se uklonili pogrešku trebali preslikati Cycloneii knjižnica iz Quartus instalacijske mape. Ako kompilacija u Quartus je uspio onda možete nastaviti daljnje korake .. Za provjeru možete sastaviti dizajn u ModelSim nakon mapiranje Cycloneii knjižnicu ....
 
Bok, pogreška u Modelsim je zbog mapiranje knjižnica Cycloneii .. Kako bi se uklonili pogrešku trebali preslikati Cycloneii knjižnica iz Quartus instalacijske mape. Ako kompilacija u Quartus je uspio onda možete nastaviti daljnje korake .. Za provjeru možete sastaviti dizajn u ModelSim nakon mapiranje Cycloneii knjižnicu ....
može li objasniti što se podrazumijeva pod karti Cycloneii knjižnica iz Quartus instalacijske mape. Kako ću to učiniti? Moj projekt datoteka nema pogrešku u punom kompilacije nastupao u Quartus II.
 
Bok, MAP znači mapiranje knjižnica datoteke u ModelSim ... Kada je otvorio svoj dizajn i pogledao u knjižnicu u ModelSim (VIEW -> KNJIŽNICA) možete vidjeti biblioteke koje se trenutno zabilježene u svoj dizajn ... Ovdje knjižnica zove Cycloneii (po defaultu što nije u ModelSim knjižnice jer mu nije standardan) ne može biti u svojoj biblioteci, tako da je potrebno da ga map ... Za mapiranje knjižnicu ići na prozoru knjižnica u ModelSim zatim desni klik miša ići NOVO -> Knjižnica, onda možete imenovati knjižnicu kao cycloneii i morate pregledavati stazu gdje cycloneii se nalazi u vašem sustavu .. .
 
Bok, MAP znači mapiranje knjižnica datoteke u ModelSim ... Kada je otvorio svoj dizajn i pogledao u knjižnicu u ModelSim (VIEW -> KNJIŽNICA) možete vidjeti biblioteke koje se trenutno zabilježene u svoj dizajn ... Ovdje knjižnica zove Cycloneii (po defaultu što nije u ModelSim knjižnice jer mu nije standardan) ne može biti u svojoj biblioteci, tako da je potrebno da ga map ... Za mapiranje knjižnicu ići na prozoru knjižnica u ModelSim zatim desni klik miša ići NOVO -> Knjižnica, onda možete imenovati knjižnicu kao cycloneii i morate pregledavati stazu gdje cycloneii se nalazi u vašem sustavu .. .
ja pokušao dodavanjem novog cycloneII knjižnicu ime i stazu knjižnici je računalo> LocalDisk (C :)> Altera> 11,1> Quartus> Knjižnice> Sim> CycloneII, ali ja još uvijek dobiti istu pogrešku. Jesam li propustiti ništa?
 
HI, možete vidjeti CycloneII knjižnica u ModelSim je knjižnici prozora ... (Znači li mapiranje je ok) ...? [COLOR = "Silver"] [SIZE = 1] ---------- Post dodan u 12:54 ---------- Prethodni post je bio u 12:52 ---------- [/SIZE] [/COLOR] wat je knjižnica ime koje ste koristili u ur dizajn koda .. jer ako su u napisati CycloneII kao Cycloneii onda greška u pitanju ... [COLOR = "Silver"] [SIZE = 1] ---------- Post dodan u 12:55 ------ ---- Prethodni post je bio u 12:54 ---------- [/SIZE] [/COLOR] možete zalijepiti retke iz vašeg koda gdje ti knjižnica pozivi ... za provjeru kako ime pojavljuje ...
 
[ATTACH = CONFIG] 70.055 [/attach] Ne čini ok za mene. ona ima "nedostupan" riječ pokraj njega. Pretpostavljam mapiranje nije u redu
 
HTML:
 # - Ulaganje paket STANDARD # # # # # # C :/ korisnika / mwong / Desktop / Lab 1/simulation/modelsim/Lab1.vho (29): KNJIŽNICA CYCLONEII; # # ** Error: C :/ Korisnici / mwong / Desktop / Lab 1/simulation/modelsim/Lab1.vho (29): Knjižnica cycloneii nije pronađen. # # # # # # C :/ korisnika / mwong / Desktop / Lab 1/simulation/modelsim/Lab1.vho (31): Koristite CYCLONEII.CYCLONEII_COMPONENTS.ALL; # # ** Pogreška: C :/ korisnika / mwong / Desktop / Lab 1/simulation/modelsim/Lab1.vho (31): (vcom-1136) Nepoznato identifikator "CYCLONEII". # # - Otvaram paket TEXTIO # - Otvaram paket std_logic_1164
tis poruka nakon sastaviti u mom modelsim. kad sam dvostruko kliknite na pogreške poruku da poveže s kodom gdje knjižnica se zove
HTML:
 KNJIŽNICA CYCLONEII; KNJIŽNICA IEEE; KORIŠTENJE CYCLONEII.CYCONEII_COMPONENTS.ALL; korištenje IEEE.STD_LOGIC_1164.ALL;
 
Da, Karte nisu završili .. Mislim da su, dodao je RTL (*. Vhd kod) CycloneII knjižnici ... su CycloneII knjižnica datoteke koje se koriste za mapiranje moraju biti prikupljeni datoteke u CycloneII RTL (*. VHD) kodovima .. Ako je tako u prevedu. VHD datoteke CycloneII i tu mapu ime ti je kao Cycloneii, onda karta koja mapa će se riješiti problem ... [COLOR = "Silver"] [SIZE = 1] ------- --- Post dodan u 13:01 ---------- Prethodni post je bio u 12:59 ---------- [/SIZE] [/COLOR] Knjižnica ime i sve što mapirati bilo u redu (CycloneII), ali budite sigurni da datoteke nisu. vhd, to bi trebalo biti prikupljeni slika
 
Ok. tako da mislim da mapirati pogrešnu datoteku biblioteke. Što je sastavio datoteke u Cyclone II? Nisam map RTL kodove. Ja samo pregledavati na mapi ciklona II u C disk.
 
Vi samo otvorio CycloneII mapu na računalu, a zatim vidjeti da li su oni. VHD datoteke ili sastavlja datoteke ... [COLOR = "Silver"] [SIZE = 1] ---------- Post dodan na 13:25 ---------- Prethodni post je bio u 13:23 ---------- [/SIZE] [/COLOR] ako su datoteke. vhd onda stvoriti novi projekt koristeći ModelSim i dodati te datoteke i prevesti ga .. Tako su prikupljeni slika može vidjeti u radu mapu ... tako da samo kopirati mapu rada i preimenovati u CycloneII. onda možete preslikati ovu mapu kao svoj CycloneII knjižnici u ModelSim ... za svoj dizajn ...
 
Prije Im pokušava sastaviti. VHO datoteku (generiran nakon kompilacije u Quartus) kako bi se obavljati simulacije. Ako mi je sastaviti. VHD datoteku, nema pogreške. No, za. VHO da. ispričavam se za nevolje. ali ova stvar stvarno me vozi do zida
 
Bok, Jeste li koristili CycloneII knjižnica u. VHD kompilacije u ModelSim ... (Ako da, u tom trenutku kako se preslikati na CycloneII Library) ... Bilo je. Vhd ili. VHO ako CycloneII knjižnica je mapiran s ModelSim Knjižnici, onda postoji should't biti pogreška ovako ... Je li pogreška u VHO simulaciji uklonjen nakon knjižnica karti ..
 

Welcome to EDABoard.com

Sponsor

Back
Top