kako korištenje bloka RAM

R

Ravindra Kalla

Guest
Can anybody TELL ME, KAKO NA KORIŠTENJE Bram Xilinx.
MOLIMO VAS POŠALJITE MI DOBRA LITERATURA.

Molimo također reći kako SKLADIŠTE bilo koju vrijednost u Bram.
Hvala
Have A Nice Day

 
HI Ovo je lako samo idite na XST VODIČ I SEE HDL kodiranja tehnike sekciji ćete naći puno primjera, uključujući kako se inicijalizira Bram ... tu je i aplikacija bilješka o Bram u Xilinx website.

 
Blok ovan može biti instantiated na dva načina.
jedan je korištenjem jezgre generatora koje Xilinx.
Drugi način je da instantiate komponente iz unisim biblioteke.
I'have učinio drugi i radi ispravno.
prvi u go to ur Xilinx mapu koja dolazi kada se u instantiate Xilinx softvera.
onda goto mapu VHDL (ili vhdl_src) u tome.
tamo ull naći unisim kod datoteku
U sastaviti da i uključiti ga kao biblioteka.
sada dolaze u ovaj kod htijenje funkcionirati. To je 512 x 8 RAM memorije. za više pojedinosti pogledajte u Xilinx mrežnu dokumentaciju.

[/ code]
- podaci memorije koristeći unisim blok ovan
library IEEE;
korištenje ieee.std_logic_1164.all;
knjižnica unisim;
korištenje unisim.all;
entitet dmemory je
port (read_data: out STD_LOGIC_VECTOR (7 downto 0);
adresa: u STD_LOGIC_VECTOR (7 downto 0);
sat, resetirajte: u STD_ULOGIC;
write_data: u STD_LOGIC_VECTOR (7 downto 0);
memread, memwrite: u STD_LOGIC);
kraj dmemory;

arhitektura instanca dmemory je
komponenta RAMB4_S8
generic (
INIT_00,
INIT_01,
INIT_02,
INIT_03,
INIT_04,
INIT_05,
INIT_06,
INIT_07,
INIT_08,
INIT_09,
INIT_0A,
INIT_0B,
INIT_0C,
INIT_0D,
INIT_0E,
INIT_0F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000"
);

port (MI, EN, RST CLK: in std_logic;
Adr: u STD_LOGIC_VECTOR (8 downto 0);
DI: u std_logic_vector (7 downto 0);
DO: out std_logic_vector (7 downto 0));
end komponente;

signala logic0, logic1: std_logic;
signala temp, mi: std_logic;
signala address1: std_logic_vector (8 downto 0);
signala CLK: std_logic;

započeti

logic1 <= ne vratiti;
temp <= memwrite xor memread;
mi <= temp i (memwrite i (ne memread));
address1 <= '0 '& adresa;
CLK <= nije sat;
RAMB4_S8_INSTANCE_NAME: RAMB4_S8

generic map (
INIT_00 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123450808050406",
INIT_01 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_02 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_03 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_04 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_05 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_06 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_07 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_08 => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_09 => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0A => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0B => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0C => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0D => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0E => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0F => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210")
port map (WE => mi, EN => logic1, RST => reset, CLK => CLK, adr => address1, DO => read_data, DI => write_data);
end instance;

Šifra:

 
bok,
Xilnx ISE's jezik Predložak uredno objašnjava kako koristiti Bram u ur dizajna.
Na taj način provjeriti da li se

 
checkout u vodiču XST korisnik (tema: HDL kodiranja tehnike).se ona nalazi u Xilinx instalacijske mape unutar docs.

 
Da li itko od vas dečki znaju kako se inicijalizira potražiti tablica?koristiti kao memorija?

 
ako u iskoristiti Xilinx ISE, onda jednostavno koristiti COREGENERATOR, to je najveći najjednostavniji i najviše optimizirano methode ja mislim .....Internet popuštanje u sve opcije u svibanj misliti ...

 
ono što je srž dynamo molim .... on generira IP .... nije to pravo ...???
Je li to besplatno?može ga se skinuti s Xilinx stranice
hvala
Salma: D

 
vahidkh6222 wrote:

ako u iskoristiti Xilinx ISE, onda jednostavno koristiti COREGENERATOR, to je najveći najjednostavniji i najviše optimizirano methode ja mislim .....
Internet popuštanje u sve opcije u svibanj misliti ...
 
Salma Ali Bakr je napisao:

ono što je srž dynamo molim .... on generira IP .... nije to pravo ...???

Je li to besplatno?
može ga se skinuti s Xilinx stranice

hvala

Salma: D
 

Welcome to EDABoard.com

Sponsor

Back
Top